欧美一级特黄大片做受成人-亚洲成人一区二区电影-激情熟女一区二区三区-日韩专区欧美专区国产专区

VivadoTcl腳本編譯工程的示例分析

這篇文章主要為大家展示了“Vivado Tcl腳本編譯工程的示例分析”,內(nèi)容簡(jiǎn)而易懂,條理清晰,希望能夠幫助大家解決疑惑,下面讓小編帶領(lǐng)大家一起研究并學(xué)習(xí)一下“Vivado Tcl腳本編譯工程的示例分析”這篇文章吧。

創(chuàng)新互聯(lián)建站主要從事網(wǎng)站建設(shè)、做網(wǎng)站、網(wǎng)頁(yè)設(shè)計(jì)、企業(yè)做網(wǎng)站、公司建網(wǎng)站等業(yè)務(wù)。立足成都服務(wù)樂(lè)山,10年網(wǎng)站建設(shè)經(jīng)驗(yàn),價(jià)格優(yōu)惠、服務(wù)專業(yè),歡迎來(lái)電咨詢建站服務(wù):18980820575

1 Vivado的Tcl能力概述

Vivado Tcl腳本編譯工程的示例分析

工具命令語(yǔ)言(Tcl--Tool Command Language)是Vivado?工具環(huán)境中集成的腳本語(yǔ)言。Tcl是半導(dǎo)體行業(yè)中用于應(yīng)用程序編程接口的標(biāo)準(zhǔn)語(yǔ)言,并由Synopsys?設(shè)計(jì)約束(SDC)使用。

         SDC是用于傳達(dá)Synopsys Synplify和其他供應(yīng)商的FPGA綜合工具的時(shí)序約束的機(jī)制,并且是時(shí)序約束行業(yè)標(biāo)準(zhǔn);因此,Tcl基礎(chǔ)結(jié)構(gòu)是腳本語(yǔ)言的“最佳實(shí)踐”。

除了執(zhí)行自動(dòng)腳本之外,Tcl還使您可以對(duì)設(shè)計(jì)工具執(zhí)行交互式查詢。Tcl能夠以交互方式“詢問(wèn)”設(shè)計(jì)數(shù)據(jù)庫(kù)的問(wèn)題,尤其是圍繞工具和設(shè)計(jì)設(shè)置及狀態(tài)的問(wèn)題。示例包括:查詢特定的時(shí)序分析報(bào)告命令有效,應(yīng)用增量約束并在執(zhí)行后立即執(zhí)行查詢以驗(yàn)證預(yù)期行為,而無(wú)需重新運(yùn)行任何工具步驟。

2Non-Project Mode Tcl Script Example

Non-Project Mode 包含以下6步:

1)創(chuàng)建文件輸出文件夾

2)讀取資源(verilog ip vhdl)和約束(XDC)

3)綜合

4)實(shí)現(xiàn)

5)產(chǎn)生bit流

#TCL script to run xilinx vivado

# A Vivado script that demonstrates a very simple RTL-to-bitstream non-project batch flow

#

# NOTE: typical usage would be "vivado -mode tcl -source run.tcl"

#

# STEP#0: define output directory area.

#

set outputDir ./led/outputfile 

mkdir $outputDir

#

#STEP#1: setup design sources and constraints

#

read_verilog led.v 

read_xdc led.xdc

#

# STEP#2: run synthesis, report utilization and timing estimates, write checkpoint design

#

synth_design -part xc7a35ticsg324-1L -top led 

write_checkpoint -force $outputDir/post_synth

report_timing_summary 

-file $outputDir/post_synth_timing_summary.rpt

report_power -file $outputDir/post_synth_power.rpt

#

# STEP#3: run placement and logic optimzation, report utilization and timing estimates, write checkpoint design

#

opt_design

place_design

phys_opt_design

write_checkpoint -force $outputDir/post_place

report_timing_summary

-file $outputDir/post_place_timing_summary.rpt

#

# STEP#4: run router, report actual utilization and timing, write checkpoint design, run drc, write verilog and xdc out

#

route_design 

report_utilizationreport_timing 

write_checkpoint -force $outputDir/post_route

report_timing_summary -file $outputDir/post_route_timing_summary.rpt

report_timing -sort_by group -max_paths 100 -path_type summary -file $outputDir/post_route_timing.rpt

report_clock_utilization -file $outputDir/clock_util.rpt

report_utilization -file $outputDir/post_route_util.rpt

report_power -file $outputDir/post_route_power.rpt

report_drc -file $outputDir/post_imp_drc.rpt

write_xdc -no_fixed_only -force $outputDir/led_impl.xdc write_verilog -force led_syn.v

#

# STEP#5: generate a bitstream

#

write_bitstream -force led.bit 

exit

Vivado Tcl腳本編譯工程的示例分析

以上是“Vivado Tcl腳本編譯工程的示例分析”這篇文章的所有內(nèi)容,感謝各位的閱讀!相信大家都有了一定的了解,希望分享的內(nèi)容對(duì)大家有所幫助,如果還想學(xué)習(xí)更多知識(shí),歡迎關(guān)注創(chuàng)新互聯(lián)行業(yè)資訊頻道!

分享名稱:VivadoTcl腳本編譯工程的示例分析
本文地址:http://aaarwkj.com/article46/jejihg.html

成都網(wǎng)站建設(shè)公司_創(chuàng)新互聯(lián),為您提供移動(dòng)網(wǎng)站建設(shè)、外貿(mào)建站、App設(shè)計(jì)、網(wǎng)站設(shè)計(jì)公司、企業(yè)建站

廣告

聲明:本網(wǎng)站發(fā)布的內(nèi)容(圖片、視頻和文字)以用戶投稿、用戶轉(zhuǎn)載內(nèi)容為主,如果涉及侵權(quán)請(qǐng)盡快告知,我們將會(huì)在第一時(shí)間刪除。文章觀點(diǎn)不代表本網(wǎng)站立場(chǎng),如需處理請(qǐng)聯(lián)系客服。電話:028-86922220;郵箱:631063699@qq.com。內(nèi)容未經(jīng)允許不得轉(zhuǎn)載,或轉(zhuǎn)載時(shí)需注明來(lái)源: 創(chuàng)新互聯(lián)

網(wǎng)站建設(shè)網(wǎng)站維護(hù)公司
亚洲女人下体毛茸茸视频| 少妇高潮试看二十分钟| 欧美大片免费高清观看| 国产精品一品二区三区在线观看| 国产又粗又爽视频免费| 日韩新片免费专区在线| 蜜桃传媒18传媒在线| 日本91大神在线观看| 久久国产精品午夜亚洲欧美| 欧美熟女av在线观看| 欧美黄色一区二区三区精品| 成人作爱视频免费播放| 欧美熟妇在线视频你懂的| 久久亚洲第一视频网站| 天天操时时操夜夜操| 久久99精品国产99久久无| 日韩一区二区亚洲精品| 果冻国产精品麻豆成人av| 久久裸体国语精品国产91| 日本福利一区二区三区| 麻豆亚洲av熟女国产| 手机在线观看午夜小视频| 国内传媒视频免费观看| 日本区一区二区三高清视频| 九九99九九99九九精品在线观看| 在线看电影亚洲一区| 91九色视频免费观看| 日韩免费视频一区二区三区免费| 日韩av高清免费播放| 成人午夜激情四射av| 高清高潮少妇一区二区三区| 97国产超碰在线观看| 成人免费在线视频不卡| 成人性生交免大片免费| 色哟哟网站之中文字幕| 国产精品黄色自拍合集| 手机在线观看av大片| 亚洲精品国产av一区| 欧美日韩国产另类在线视频| 麻豆精品情欲人妻二区| 91欧美精品午夜性色福利|